CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog DDS

搜索资源列表

  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. cordic

    0下载:
  2. 该程序使用Verilog语言,可以生成dds正余弦信号-The program uses the Verilog language, can generate sine and cosine signals dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5960
    • 提供者:王丽
  1. dds

    0下载:
  2. 包含完整的dds产生的Verilog程序和test 文件-Contains the complete dds generated Verilog program and test files
  3. 所属分类:Other systems

    • 发布日期:2017-05-20
    • 文件大小:6110005
    • 提供者:fqzxw
  1. DDS_Set

    0下载:
  2. AD9852,DDS芯片接收数据逻辑。(Verilog语言)-AD9852, DDS chips receive data logic. (Verilog language)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1440
    • 提供者:zhangwei
  1. Verilog

    0下载:
  2. :Verilog实现的DDS正弦信号发生器和测频测相模块-: Verilog implementation of the DDS sine signal generator and frequency measurement module test phase
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:1371136
    • 提供者:GAOMINGLIANG
  1. DDS

    0下载:
  2. 数字频率计 DDS,使用Verilog编写-Digital frequency meter DDS, prepared using the Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3391
    • 提供者:潘映波
  1. cordic

    0下载:
  2. Cordic algorithm implementation in verilog for use in DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4968
    • 提供者:zcos123
  1. DDS

    0下载:
  2. 在MAXPLUSII下开发的基于verilog的直接数字频率合成器-Developed under the MAXPLUSII verilog-based direct digital frequency synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1925825
    • 提供者:洪依
  1. DDS

    0下载:
  2. 在ISE环境中,运用verilog语言实现DDS(直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写)的功能-In the ISE environment, use verilog language DDS (direct digital frequency synthesizer (Direct Digital Synthesizer) in abbreviation) of the function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:371904
    • 提供者:xiao
  1. dds

    0下载:
  2. dds的verilog实现 调用dds核 已经实验验证-dds 调用dds核
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1688
    • 提供者:王艳超
  1. dds

    0下载:
  2. 基于Verilog HDL的DDS设计与仿真-Verilog HDL-based design and simulation of DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:312952
    • 提供者:mend
  1. MY-DDS

    0下载:
  2. 利用altera公司的FPGA使用verilog语言实现DDS功能 外加DA 可将数字信号转换成标准正弦信号-Altera FPGA use verilog language of DDS functions plus DA converts digital signals into a standard sine signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:1305600
    • 提供者:李枫
  1. DDS-Verilog-design-and-simulation

    0下载:
  2. DDS的Verilog设计及QuartusⅡ与Matlab联合仿真 -dds s verilog simulation dds s verilog simulation dds s verilog simulation dds s verilog simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:283410
    • 提供者:才一句
  1. DDS-VERILOG

    0下载:
  2. DDS的信号发生器verilog代码 可直接用于编程 已经测试-Verilog code of the DDS signal generator which can be used directly in the programming has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3215
    • 提供者:佘琪
  1. DDS-design-based-on-verilog

    0下载:
  2. 用verilog语言设计DDS数字频率合成器-DDS design based on verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1049501
    • 提供者:zhxuqin
  1. dds

    1下载:
  2. 使用AD5559,结合quartus中的硬件描述语言,实现了雷达发射信号二相码信号-using AD9959 and combining with verilog to output a rada signal of Binary code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5249407
    • 提供者:chengjingjing
  1. DDS-frequency-synthesizer

    0下载:
  2. 本文主要讨论了Verilog语言的基于DDS的波形发生器的设计。从设计要求入手,本文给出了DDS的详细设计过程,包括各个模块的设计思想,电路图,Verilog语言程序代码。其大致思想为通过频率控制字和相位控制字去控制正弦函数的ROM存储表的地址并对应着得到其幅度值,最终达到输出需要波形的目的。-This paper mainly discusses the design of the Verilog language, the DDS-based waveform generator. Star
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:813898
    • 提供者:任健铭
  1. DDS-SIN

    0下载:
  2. 用verilog语言实现DDS的正弦波发送-DDS sine wave sent verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2629856
    • 提供者:牛倩
  1. sin_generate

    0下载:
  2. verilog 实现 dds正弦 函数信号发生器 verilog 实现 dds正弦 函数信号发生器-verilog achieve dds sine function signal generator verilog verilog dds sine function signal generator the dds sine function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:425984
    • 提供者:陈占田
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com